E. Paaske, Improved decoding for a concatenated coding system recommended by CCSDS, IEEE Transactions on Communications, vol.38, issue.8, pp.1138-1144, 1990.
DOI : 10.1109/26.58746

URL : http://orbit.dtu.dk/files/3724196/Paaske.pdf

G. Battail, Coding for the Gaussian channel-The promise of weightedoutput decoding, International Journal of Satellite Communications, vol.7, pp.183-192, 1989.

J. Hagenauer and P. Hoeher, A Viterbi Algorithm with Soft-Decision Outputs and its Applications, Proc. 1989 Global Telecommunications Conference (GLOBECOM '89), pp.1680-1686, 1989.
DOI : 10.1109/glocom.1989.64230

C. Berrou, P. Adde, E. Angui, and S. Faudeil, A Low Complexity Soft-Output Viterbi Decoder Architecture, Proc. 1993 International Conference on Communications (ICC '93), pp.737-740, 1993.
DOI : 10.1109/icc.1993.397371

C. Berrou, A. Glavieux, and P. Thitimajshima, Near Shannon Limit Error-Correcting Coding and Decoding: Turbo-Codes, Proc. 1993 International Conference on Communications (ICC '93), pp.1064-1070, 1993.
DOI : 10.1109/icc.1993.397441

D. J. Mackay and R. Neal, Near Shannon limit performance of LowDensity Parity-Check Codes, Electronic Letters, vol.32, pp.1645-1646, 1996.

, Third Generation Partnership Project. LTE; Evolved Universal Terrestrial Radio Access (E-UTRA

, Multiplexing and channel coding (3GPP TS 36, 2016.

M. Bickerstaff, L. Davis, C. Thomas, D. Garrett, and C. Nicol, A 24Mb/s Radix-4 LogMAP Turbo Decoder for 3GPP-HSDPA Mobile Wireless, Proc. 2003 IEEE International Solid-State Circuits Conference (ISSCC '03), vol.484, pp.150-151, 2003.

M. May, C. Neeb, and N. Wehn, Evaluation of High Throughput Turbo-Decoder Architectures, Proc. IEEE International Symposium on Circuits and Systems ISCAS 2007, pp.2770-2773, 2007.

J. Kim and I. Park, A unified parallel radix-4 turbo decoder for mobile WiMAX and 3GPP-LTE, Proc. IEEE Custom Integrated Circuits Conference CICC '09, pp.487-490, 2009.

M. J. Thul, Exploration of the Interleaver Bottleneck in Iterative Decoding using Parallel Architectures and a Proposal How to Overcome It, 2001.

J. Sun and O. Y. Takeshita, Interleavers for turbo codes using permutation polynomials over integer rings, IEEE Transactions on Information Theory, vol.51, issue.1, pp.101-119, 2005.

, Third Generation Partnership Project. 3GPP TS 36.212 V8.5.0; 3rd Generation Partnership Project; Technical Specification Group Radio Access Network

, Multiplexing and channel coding (Release 8), 2008.

R. G. Maunder, A Fully-Parallel Turbo Decoding Algorithm, IEEE Transactions on Communications, vol.63, issue.8, pp.2762-2775, 2015.

A. Li, L. Xiang, T. Chen, R. G. Maunder, B. M. Al-hashimi et al., VLSI Implementation of Fully Parallel LTE Turbo Decoders, IEEE Access, vol.4, pp.323-346, 2016.

G. P. Fettweis, The Tactile Internet: Applications and Challenges. Vehicular Technology Magazine, vol.9, pp.64-70, 2014.

P. Schläfer, N. Wehn, T. Lehnigk-emden, and M. Alles, A New Dimension of Parallelism in Ultra High Throughput LDPC Decoding, IEEE Workshop on Signal Processing Systems (SIPS), 2013.

A. Balatsoukas-stimming, M. Meidlinger, R. Ghanaatian, G. Matz, and A. Burg, A Fully-Unrolled LDPC Decoder Based on Quantized Message Passing, 2015.

S. Weithoffer, M. Herrmann, C. Kestel, and N. Wehn, Advanced wireless digital baseband signal processing beyond 100 Gbit/s, 2017 IEEE International Workshop on Signal Processing Systems (SiPS), pp.1-6, 2017.

S. Weithoffer, K. Kraft, and N. Wehn, Bit-level Pipelining for Highly Parallel Turbo-Code Decoders: A Critical Assessment, IEEE Africon 2017, pp.138-143, 2017.

T. Ilnseher, F. Kienle, C. Weis, and N. Wehn, A 2.12Gbit/s Turbo Code Decoder for LTE Advanced Base Station Applications, 2012 7th International Symposium on Turbo Codes and Iterative Information Processing, 2012.

G. Wang, H. Shen, Y. Sun, J. R. Cavallaro, A. Vosoughi et al., Parallel Interleaver Design for a High throughput HSPA+/LTE MultiStandard Turbo Decoder, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.5, pp.1376-1389, 2014.

R. Shrestha and R. P. Paily, High-Throughput Turbo Decoder With Parallel Architecture for LTE Wireless Communication Standards, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.9, pp.2699-2710, 2014.

Z. Juntan and M. P. Fossorier, Shuffled Iterative Decoding, IEEE Transactions on Communications, vol.53, issue.2, pp.209-213, 2005.

A. Li, P. Hailes, R. G. Maunder, B. M. Al-hashimi, and L. Hanzo, , vol.1

, Gbit/s FPGA Implementation of a Fully-Parallel Turbo Decoder Designed for Mission-Critical Machine-Type Communication Applications, IEEE Access, vol.4, pp.5452-5473, 2016.

A. Worm, H. Lamm, and N. Wehn, Design of Low-Power HighSpeed Maximum a Posteriori Decoder Architectures, Proc. Design, Automation and Test in Europe Conference and Exhibition, pp.258-265, 2001.

M. May, T. Ilnseher, N. Wehn, and W. Raab, A 150Mbit/s 3GPP LTE Turbo Code Decoder, Proc. Design, Automation and Test in Europe, 2010 (DATE '10), pp.1420-1425, 2010.

S. Weithoffer, F. Pohl, and N. Wehn, On the applicability of trellis compression to Turbo-Code decoder hardware architectures, 9th International Symposium on Turbo Codes and Iterative Information Processing (ISTC), pp.61-65, 2016.
DOI : 10.1109/istc.2016.7593077

M. M. Mansour and N. R. Shanbhag, VLSI architectures for SISO-APP decoders, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.11, issue.4, pp.627-650, 2003.
DOI : 10.1109/tvlsi.2003.816136

M. Jezequel and P. Penard, Turbo4: a high bit-rate chip for turbo code encoding and decoding, IEE Colloquium on Turbo Codes in Digital Broadcasting-Could It Double Capacity? (Ref. No. 1999/165), 1999.
DOI : 10.1049/ic:19990784

S. Crozier and P. Guinand, Distance Upper Bounds and True Minimum Distance Results for Turbo-Codes Designed with DRP Interleavers, Proc. 3rd International Symposium on Turbo Codes & Related Topics, 2003.

C. Berrou, Y. Saouter, C. Douillard, S. Kerouedan, and M. Jézéquel, Designing good permutations for turbo codes: towards a single model, Proc. IEEE International Conference on Communications, vol.1, pp.341-345, 2004.
DOI : 10.1109/icc.2004.1312507

R. Garzón-bohórquez, C. A. Nour, and C. Douillard, On the Equivalence of Interleavers for Turbo Codes, IEEE Wireless Communications Letters, vol.4, issue.1, pp.58-61, 2015.

K. Gracie and S. Crozier, Convergence performance and EXIT analysis of 4-state partially-systematic Turbo codes, 5th International Symposium on Turbo Codes and Related Topics, pp.414-419, 2008.
DOI : 10.1109/turbocoding.2008.4658735

R. Garzón-bohórquez, C. Nour, and C. Douillard, ProtographBased Interleavers for Punctured Turbo Codes, IEEE Transactions on Communications, vol.66, issue.5, pp.1833-1844, 2018.

Y. Zhang and K. K. Parhi, High-Throughput Radix-4 logMAP Turbo Decoder Architecture, Proc. Fortieth Asilomar Conference on Signals, Systems and Computers ACSSC '06, pp.1711-1715, 2006.
DOI : 10.1109/acssc.2006.355053

C. Roth, S. Belfanti, C. Benkeser, and Q. Huang, Efficient Parallel Turbo-Decoding for High-Throughput Wireless Systems, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, pp.1824-1835, 2014.
DOI : 10.1109/tcsi.2013.2290831

Y. Sun and J. R. Cavallaro, Efficient hardware implementation of a highly-parallel 3GPP LTE/LTE-advance turbo decoder, Integration VLSI Journal, 2010.
DOI : 10.1016/j.vlsi.2010.07.001

URL : https://scholarship.rice.edu/bitstream/1911/64200/1/2011_Integration_Turbo_Sun.pdf