L. Chua, Memristor-the missing circuit element, IEEE Trans. on circuit theory, vol.18, issue.5, pp.507-519, 1971.

D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams, The missing memristor found, Nature, vol.453, issue.7191, p.80, 2008.

S. Kvatinsky, N. Wald, G. Satat, A. Kolodny, U. C. Weiser et al., MRL-memristor ratioed logic, proc. of the Int. Workshop on Cellular Nanoscale Networks and their Applications, 2012.

J. Chowdhury, K. Das, and K. Rout, Implementation of 24T memristor based adder architecture with improved performance, Int. Journal of Electrical, Electronics and Data Communication, vol.3, issue.6, 2015.

K. A. Ali, M. Rizk, A. Baghdadi, J. Diguet, and J. Jomaah, MRL crossbar-based full adder design, proc. of the IEEE Int. Conf. on Electronics, Circuits and Systems (ICECS), 2019.
URL : https://hal.archives-ouvertes.fr/hal-02502450

A. Sebastian, M. L. Gallo, R. Khaddam-aljameh, and E. Eleftheriou, Memory devices and applications for in-memory computing, Nature Nanotechnology, pp.1-16, 2020.

D. Patterson, A case for intelligent RAM, IEEE Micro, vol.17, issue.2, pp.34-44, 1997.

S. Khoram, Y. Zha, J. Zhang, and J. Li, Challenges and opportunities: from near-memory computing to in-memory computing, Proc. of the ACM on International Symposium on Physical Design, pp.43-46, 2017.

S. Hamdioui, Applications of Computation-In-Memory Architectures based on Memristive Devices, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.486-491, 2019.

S. Kvatinsky, G. Satat, N. Wald, E. G. Friedman, A. Kolodny et al., Memristor-based material implication (IMPLY) logic: Design principles and methodologies, IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol.22, issue.10, pp.2054-2066, 2014.

E. Lehtonen and M. Laiho, Stateful implication logic with memristors, proc. of the IEEE Int. Symp. on Nanoscale Architectures, 2009.

N. Talati, S. Gupta, P. Mane, and S. Kvatinsky, Logic design within memristive memories using Memristor-Aided logic (MAGIC), IEEE Trans. on Nanotechnology, vol.15, issue.4, pp.635-650, 2016.

P. Thangkhiew, R. Gharpinde, D. N. Yadav, K. Datta, and I. Sengupta, Efficient implementation of adder circuits in memristive crossbar array, 2017.

P. L. Thangkhiew, R. Gharpinde, P. V. Chowdhary, K. Datta, and I. Sengupta, Area efficient implementation of ripple carry adder using memristor crossbar arrays, proc. of the Int. Design & Test Symp. (IDT), 2016.

S. Shirinzadeh, M. Soeken, P. Gaillardon, and R. Drechsler, Logic Synthesis for Majority Based In-Memory Computing, Chapter in Advances in memristors, memristive devices and systems, 2017.

A. Siemon, S. Menzel, R. Waser, and E. Linn, A complementary resistive switch-based crossbar array adder, IEEE journal on emerging and selected topics in circuits and systems, vol.5, issue.1, pp.64-74, 2015.

C. Xue, A 1Mb multibit ReRAM computing-in-memory macro with 14.6 ns parallel MAC computing time for CNN based AI edge processors, proc. of the IEEE Int. Solid-State Circuits Conference-(ISSCC), 2019.

W. Chen, A 16Mb dual-mode ReRAM macro with sub-14ns computing-in-memory and memory functions enabled by self-write termination scheme, proc. of the IEEE Int. Electron Devices Meeting (IEDM, 2017.

C. Xue, A 22nm 2Mb ReRAM compute-in-memory macro with 121-28TOPS/W for multibit MAC computing for tiny AI edge devices, proc. of the IEEE Int. Solid-State Circuits Conference-(ISSCC), p.2020

W. Chen, CMOS-integrated memristive non-volatile computingin-memory for AI edge processors, Nature Electronics, vol.2, issue.9, pp.420-428, 2019.

S. Kvatinsky, MAGIC-Memristor-Aided Logic, IEEE Trans. Circuits Syst. II: Exp. Briefs, vol.61, issue.11, pp.895-899, 2014.

X. Fang and Y. Tang, Circuit analysis of the memristive stateful implication gate, Electronics Letters, vol.49, issue.20, pp.1282-1283, 2013.

P. Gaillardon, The programmable logic-in-memory (PLiM) computer, proc. of the Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016.

D. Biolek, Z. Biolek, and V. Biolkova, Pinched hysteretic loops of ideal memristors, memcapacitors and meminductors must be 'self-crossing, Electronics letters, vol.47, issue.25, pp.1385-1387, 2011.

J. Rajendran, H. Manem, R. Karri, and G. S. Rose, An energy-efficient memristive threshold logic circuit, IEEE Trans. on Computers, vol.61, issue.4, pp.474-487, 2012.

L. Guckert and E. E. Swartzlander, MAD gates-memristor logic design using driver circuitry, IEEE Trans. Circuits Syst. II: Exp. Briefs, vol.64, issue.2, pp.171-175, 2016.

E. Linn, R. Rosezin, S. Tappertzhofen, U. Böttger, and R. Waser, Beyond von Neumann-logic operations in passive crossbar arrays alongside memory operations, Nanotechnology, vol.23, issue.30, p.305205, 2012.

K. C. Rahman, D. Hammerstrom, Y. Li, H. Castagnaro, and M. A. Perkowski, Methodology and design of a massively parallel memristive stateful IMPLY logic-based reconfigurable architecture, IEEE Trans. on Nanotechnology, vol.15, issue.4, pp.675-686, 2016.

R. B. Hur and S. Kvatinsky, Memristive memory processing unit (MPU) controller for in-memory processing, proc. of the Int. Conf. on the Science of Electrical Engineering (ICSEE), 2016.

R. Gharpinde, P. L. Thangkhiew, K. Datta, and I. Sengupta, A scalable inmemory logic synthesis approach using memristor crossbar, IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol.26, issue.2, pp.355-366, 2018.

P. L. Thangkhiew, R. Gharpinde, and K. Datta, Efficient mapping of Boolean functions to memristor crossbar using MAGIC NOR gates, IEEE Trans. Circuits Syst. I: Reg. Papers, issue.99, pp.1-11, 2018.

J. J. Yang, D. B. Strukov, and D. R. Stewart, Memristive devices for computing, Nature Nanotechnology, vol.8, issue.1, p.13, 2013.

L. Amaru, P. Gaillardon, and G. De-micheli, Majority-inverter graph: A new paradigm for logic optimization, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst, vol.35, issue.5, pp.806-819, 2015.

M. A. Zidan, H. A. Fahmy, M. M. Hussain, and K. N. Salama, Memristor-based memory: The sneak paths problem and solutions, Microelectronics Journal, vol.44, issue.2, pp.176-183, 2013.

Y. Cassuto, S. Kvatinsky, and E. Yaakobi, Sneak-path constraints in memristor crossbar arrays, proc. of the IEEE Int. Symp. on Information Theory (ISIT), 2013.

S. Shin, K. Kim, and S. Kang, Analysis of passive memristive devices array: Data-dependent statistical model and self-adaptable sense resistance for RRAMs, Proc. of the IEEE, vol.100, issue.6, 2012.

Y. Huai, Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects, AAPPS bulletin, vol.18, issue.6, pp.33-40, 2008.

H. Lee, Evidence and solution of over-reset problem for HfOx based resistive memory with sub-ns switching speed and high endurance, proc. of the Int. Electron Devices Meeting, 2010.

S. Ikeda, A perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction, Nature materials, vol.9, issue.9, p.721, 2010.

X. Wang, Y. Chen, H. Xi, H. Li, and D. Dimitrov, Spintronic memristor through spin-torque-induced magnetization motion, IEEE electron device letters, vol.30, issue.3, pp.294-297, 2009.

T. Hanyu, Standby-power-free integrated circuits using MTJ-based VLSI computing, Proc. of the IEEE, vol.104, 2016.

Y. Wang, Y. Zhang, E. Deng, J. Klein, L. A. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectronics Reliability, vol.54, issue.9, pp.1774-1778, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01216431

Y. Wang, Compact thermal modeling of spin transfer torque magnetic tunnel junction, Microelectronics Reliability, vol.55, pp.1649-1653, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01216419

L. Amarú, P. Gaillardon, and G. De-micheli, Majority-inverter graph: A novel data-structure and algorithms for efficient logic optimization, proc. of the IEEE Design Automation Conference (DAC), 2014.

, ABC -a system for sequential synthesis and verification, 2005.